VHDL: Kaip skaityti iš failo?

N

Nandu

Guest
Sveiki visi

Norėčiau sužinoti instrukcija naudojamas skaityti duomenis iš failo, o taip pat rašyti į failą.

ačiū

 
Nandu rašė:

Sveiki visiNorėčiau sužinoti instrukcija naudojamas skaityti duomenis iš failo, o taip pat rašyti į failą.ačiū
 
- Tai testbench bus savarankiškai explainatory.biblioteka IEEE std;
naudoti ieee.std_logic_1164.all;
naudoti ieee.std_logic_textio.all;
naudoti std.textio.all;

subjektas TB
pabaigos tb;Architektūra beh_tb TB yrakomponentas DfF

uosto (d: į bitų;
Q: iš bitų;
CLK: į bitų;
RST: į KALTAI
);paskutiniam elementui;

signalas d_s, q_s, clk_s, rst_s: bit;
signalas CNTR: bit;pradėtiU1: DfF

Uosto planas (d => d_s, q => q_s, CLK => clk_s, GR => rst_s);

clk_gen: procesas

pradėti

clk_s <= '0 ';

Palaukite 5 ns;

clk_s <= '1 ';Palaukite 5 ns;

pabaigos procesą;

reset_gen: procesas

pradėti

rst_s <= '0 ';

Palaukite 5 ns;

rst_s <= '1 ';

laukti 200 ns;

pabaigos procesą;

file_proc: procesasBylos ipfile: tekstas yra "/ home/js17421/vhdl/text/ipf.txt";
Bylos opfile: tekstas iš "/ home/js17421/vhdl/text/abc";

kintamasis ip_line: linija;
kintamasis op_line: linija;
kintamasis d_v: bit;
kintamasis i, j: integer;pradėti

o ne (endfile (ipfile)) linijos

readline (ipfile, ip_line);
skaityti (ip_line, d_v);
d_s <= d_v;
i: = i 1;
palaukti 10 ns;

ginti ne (endfile (ipfile))

pranešimas "Failas baigėsi"
sunkumo dėmesį;

pabaigos linijos;

laukti 100 ns;

while (j <i) cikloif (j = 1) tada
rašyti (op_line, string "(" išėjimo "));
WriteLine (opfile, op_line);
end if;

rašyti (op_line, q_s);
WriteLine (opfile, op_line);

j: = j 1;
palaukti 10 ns;
pabaigos linijos;

pabaigos procesą;pabaigos beh_tb;

 
pabandykite šią svetainę www.stefanvhdl.com.

Jie turi gera pamoka į rading ir raštu iš failo.

 
Kai naudojate VHDL kodas ...Rašyti TAG kodekso --- tai kad jos taptų aiškesnės

Kodas:biblioteka IEEE std;

naudoti ieee.std_logic_1164.all;

naudoti ieee.std_logic_textio.all;

naudoti std.textio.all;subjektas TB

pabaigos tb;Architektūra beh_tb TB yrakomponentas DfF

uosto (d: į bitų;

Q: iš bitų;

CLK: į bitų;

RST: į KALTAI

);

paskutiniam elementui;signalas d_s, q_s, clk_s, rst_s: bit;

signalas CNTR: bit;pradėtiU1: DfF uosto planas (d => d_s, q => q_s, CLK => clk_s, GR => rst_s);clk_gen: procesas

pradėticlk_s <= '0 ';

Palaukite 5 ns;

clk_s <= '1 ';

Palaukite 5 ns;pabaigos procesą;reset_gen: procesaspradėtirst_s <= '0 ';

Palaukite 5 ns;

rst_s <= '1 ';

laukti 200 ns;pabaigos procesą;file_proc: procesasBylos ipfile: tekstas yra "/ home/js17421/vhdl/text/ipf.txt";

Bylos opfile: tekstas iš "/ home/js17421/vhdl/text/abc";kintamasis ip_line: linija;

kintamasis op_line: linija;

kintamasis d_v: bit;

kintamasis i, j: integer;pradėtio ne (endfile (ipfile)) linijos

readline (ipfile, ip_line);

skaityti (ip_line, d_v);

d_s <= d_v;

i: = i 1;

palaukti 10 ns;ginti ne (endfile (ipfile))pranešimas "Failas baigėsi"

sunkumo dėmesį;pabaigos linijos;laukti 100 ns;while (j <i) cikloif (j = 1) tada

rašyti (op_line, string "(" išėjimo "));

WriteLine (opfile, op_line);

end if;rašyti (op_line, q_s);

WriteLine (opfile, op_line);j: = j 1;

palaukti 10 ns;

pabaigos linijos;pabaigos procesą;pabaigos beh_tb;
 

Welcome to EDABoard.com

Sponsor

Back
Top