VHDL-ams vin'above sintaksė errorż?

M

mImoto

Guest
Labas,

Aš naudoju ansoft simplorer SV versija, kad yra VHDL-ams simuliatorius mokymosi VHDL-ams.

Mano problema yra ta, kad kai aš rašau šį kodą: jis suteikia man sintaksės klaida ir aš nežinau, kodėl.Norėčiau vertiname bet kokią pagalbą.

LIBRARY IEEE;
VARTOJIMO IEEE.ELECTRICAL_SYSTEMS.ALL;
VARTOJIMO IEEE.STD_LOGIC_1164.ALL;
VARTOJIMO IEEE.MATH_REAL.ALL;

SUBJEKTAS schmitt_trigger YRA

uosto (terminalo ain: elektrinė;
signalo dout: iš std_ulogic);

Pabaiga SUBJEKTAS schmitt_trigger;

ARCHITEKTŪRA elgesio SU schmitt_trigger YRA

nuolat VHI: realaus: = 3.0;
nuolat vlo: nekilnojamojo: = 1.7;
kiekis vin visoje ain;

BEGIN

comparator_behaviour: procesas
pradėti

jei vin> VHI tada
dout <='1 'po 5 ns;
dar vin <vlo tada
dout <='0 'po 5 ns;
end if;
Patarnauti vin'above (VHI); - ČIA Man "" "Sintaksės klaida

galutinio proceso comparator_behaviour;

Pabaiga ARCHITEKTŪRA elgesio;

Nuoširdžiausi linkėjimai,

mimoto

 

Welcome to EDABoard.com

Sponsor

Back
Top