Pagalba mane VGA signalą kontrolės FPGA

H

hoangthanhtung

Guest
Turiu FPGA valdybos ir CPLD valdybos XESS kompanija.Noriu naudoti VGA sąsaja šiuo korteles taip nemanau, bet documant apie tai.Aš taip pat paieška XESS svetainę, tačiau dokumentas šioje svetainėje yra sugadintas.

Bet kas gali man padėti.Prašome įkelti keletą pavyzdžių ir pilnas dokumentų jiems.

Ačiū labai už Jūsų pagalbą

 
Turiu bandymas kai programa šioje svetainėje, tačiau jie yra uncorrect.Ar kas nors po tam tikros programos, kuri yra patikrinta vietoje.
Ačiū

 
Ar galite apibrėžti "uncorrect"?
Tarkim, jūs žinote, ką reiškia "uncorrect" yra Jums gali juos pataisyti?(O;

Generavimas VGA signalai yra vienas iš paprasčiausių užduočių FPGA.Tik keletas skaitiklių dalykas ir teisė laikrodžio dažnis (o;

 
Manau, kad šioje pavyzdžių schema turi problemų.Aš taip pat sutvarkyti visus ir sudarytojas ji to nėra jokių monitorius signalą.Nežinau priežasties.
Gal kas nors įkelti ar siųsti kitą kodą apie šią problemą su manimi?Dėkojame, tiek

 
Aš negaliu patikėti, kad bus taip sunku rasti VGA išteklius, FPGA, ...
Pirmasis nukentėjo nuo "Google" ieškant "VGA verilo":

http://www.cs.unc.edu/ ~ stewart/comp290-ghw/vga.html

Ir yra shitloads kitų pavyzdžių, kaip gerai ... neturi būti per daug tingus (o;

 
Thank you so much, turiu išbandyti jį užbaigti.Aš su hex failas, kuris yra pakrautas į SRAM, kad nėra nieko kontroliuoti klaidą

 

Welcome to EDABoard.com

Sponsor

Back
Top