Pagalba ir "K kovą" iš ADPLL (VHDL kodą)

Y

yming

Guest
kas gali man padėti, kaip rašyti VHDL kodas k skaitiklis, programuojamos dalies?kintamasis etapas ilgas, kurios kontroliuoja K modulis.
arba Giv man kryptimi, nes i cant find bet Internat šaltiniai.

<img src="http://www.edaboard.com/images/smiles/icon_cry.gif" alt="Crying or Very sad" border="0" />ačiū.

 
niekas nežino, kad?tada ....

Ar kas nors žino, ar galima padaryti privalomas kintamasis?
už pvz.
UP: std_logic_vector (N downto 0);
N nėra pastovus, tačiau priklausys nuo kitų įvesties prievadas.arba HAV bet kokį metodą, ją išspręsti?
ačiū pirmiausia.

 
Counter modulis K (programuojamos) reikia nustatyti blogiausiu atveju uosto plotis K.

Tada jis tiesiog decrementing kovos su sinchroniniais PRELOAD kaip
Kodasprocesas (CLK)

pradėti

jei rising_edge (CLK) tada

jei Count = 0 THEN

Count <= K;

kitas

Count <= count-1;

end if;

end if;

galutinio proceso;

 
ačiū vemti.

bet aš ne iš tikrųjų suprasti, ką ir reiškia?
Kas yra siūlyti šios Count?ir kokie duomenų tipo Grafo, tas pats kaip K (std_logic_vector (3 downto 0)?

 
iš tikrųjų aš tą patį projektą.Aš K-kovą, o dabar dar sprendimo I / D-counter dalis.Skelbimas po 2 minučių:nėra ADPLL kodą viešai, kiek aš žinau.

 
Anyway, arbalez, aš žinau, nėra kodą paleistas, bet gali ir Giv man šiek tiek gidu?
ačiū

 
yming rašė:

niekas nežino, kad?
tada ....Ar kas nors žino, ar galima padaryti privalomas kintamasis?

už pvz.

UP: std_logic_vector (N downto 0);

N nėra pastovus, tačiau priklausys nuo kitų įvesties prievadas.
arba HAV bet kokį metodą, ją išspręsti?

ačiū pirmiausia.
 
vemti rašė:

Counter modulis K (programuojamos) reikia nustatyti blogiausiu atveju uosto plotis K.Tada jis tiesiog decrementing kovos su sinchroniniais PRELOAD kaipKodasprocesas (CLK)

pradėti

jei rising_edge (CLK) tada

jei Count = 0 THEN

Count <= K;

kitas

Count <= count-1;

end if;

end if;

galutinio proceso;

 

Welcome to EDABoard.com

Sponsor

Back
Top