ncsim klaidos pranešimą

A

Aravind

Guest
hi draugai
įdiegta ncverilog. when I run imitavimui. everying vyksta good.but kai i starto ncsim tai rodo klaidos pranešimą
"ncsim: klaida pakrovimo shared libraries: libudm.so: cannot open shared object file: Nėra tokio failo ar katalogo"
Pls help ją ištaisyti
ačiū

 
hi Aravind,
i per susiduria tos pačios problemos,
Jūs turite pateikti šiuos nustatymus savo. cshrc failą csh lukštais

"setenv LD_LIBRARY_PATH $ HOME / Komercinės / tools / Lib"

=> $ HOME / Komercinės / tools / lib -> aptinka shared libraries: libudm.so:
nustatyti minėtų nustatymas ir naudojasi .....

iki

 
i turi tą pačią bėdą.
tai yra geras įgūdžių ...
bet ir dar vienas būdas išspręsti ..
kad pridėti šį Dinaminis lib į sistemą

 
labas
kaip ir galima pridėti dinaminio lib
Pls pasakykite apie tai
ir gavo dar vieną pranešimą apie klaidą.8 bot pseudocolor klaida
Pls atsakymas

 

Welcome to EDABoard.com

Sponsor

Back
Top