kurios bendrovės 82c54 gali būti naudojamos pagal 3 V?

Labas,

Atsiprašome, bet aš nežinau tikslaus atsakymo į klausimą, bet u ur turėtų apsvarstyti galimybę imtis žemos įtampos CPLD ir įdėti nemokamai TL-core į jį.Tai ir gali padaryti 3V ir u reikės mažiau mA (kai U pasirinkti teisę CPLD)

Ristūnai

 
Labas

Pačios NPI, kad parduodamas 82c54 yra:

OKI
Intel
INTERSIL
AMD
UMC
Zilog
Harris (not sure)Jei nerandate prietaisas (senas lustai) ir taip pat dar retai rasti 3.3V galite padaryti sau clpd arba FPGA.

Nuoširdžiausi linkėjimai.

 
Manau, kad geriausias būdas yra naudojant FPGA savo prašymą, aš sutinku su plepus

 
Dabar mano sistemos naudojimo CPLD "Crypress CY37064", kuris turi 64 Macrocells.Ar Macrocells pakankamai?

Kiek Macrocells bus naudojami CPLD?

 
Hmm ...

Jūsų lustas turi 64 makrokomandą, kuri yra ~ 1.200 vartų.
Visiškai 8.254 luste VHDL yra ~ 5000 vartų, į FPGA ją naudoti ~ 700 ląstelių,
ir clpd i įsitikinę ~ 256 (manau).

Tai bigest CPLD. (Savikainos daug palyginti su 8.254 mikroschema).

Gauta 10k vartai FPGA nuo Cypress jei norite dirbti su jais,
Man patinka daugiau apie 1k ir @ letra fammily (galima naudoti 1k10 visų sistemų projektavimas) jie nėra exp (~ 15 $ tikrai nėra), bet jums reikia dirbti su šia KOMP didelis ir lengvas įrankis vertinimo įrankis dirbti su labiausiai componnents.

Pastaba: aš nežinau, ką jums reikia iš 8254, bet ir įsitikinę
kad nereikia visų formatas darbą ar visi Timmers / skaitiklis
galite Desing sau conuter / Timmer, kad bus daugiau mažų tada
originalas pagrindas.

Jeigu norite paskelbti ko jums reikia iš skaitiklis galiu paaiškinti / projektavimas
Jums, kaip statyti ir kiek elementų reikės.

Nuoširdžiausi linkėjimai.

 
Paprasta (theorical) apskaičiavimo 8.254.Timmer 16 bitų reg X3 (Jūs turite 3) = 48 (flipflops = ląstelės)
Auto Perkrauti už Timmer 16 bitų X3 = 48
Pagrindinis valdymo raj 8 bitai = 8
kontrolės Timmer raj 8 bitų X3 = 24
8 bitų sąsaja autobusai 8 bitai = 8
Timmer 1 rezultatas šiek X3 = 3
functionnaly dizainas?=??
-------------------------------------------------- -------------------
Bendra minimali žinoti ląstelės = 141 ląstelių

Nuoširdžiausi linkėjimai.

 
Aš tik noriu du 16bit Couter.

Dabar mano 82C54 buvo naudojama kaip kad:
----------------------
3MHz --->| clk1
IO1 ------> | gate1
NC -------> | out1

750k ----> | clk2
Io2 -----> | gate2
NC -------> | out2
------------------------
Kai IO yra nustatytas aukštas, skaitiklis pradeda skaičiuoti (arba paskaičiuoti).
Kai IO išvalytas, Couter baigiasi ir duomenis nuskaito, MSP430.

Na, Kiek Macrocells bus naudojami?

thx.

 
Labas
Jums reikės paprastų desingn, jei aš teisingai suprantu, jūs (rpair man, jei
reikia, kad kiti pakeitimai).

(16bit kovos 1 bitų sinchronizavimo IO prie laikrodžio) x2 reg = 34 elementų

8bit sąsajos duomenų magistralės = 8 elementai

keletą ląstelių kontrolės

Manau, kad 34 8 (2 arba 4) = 44-46 langelis, geriausiu atveju;rodyti paprastas pavyzdys aukštų langue (išversti ją savo dev langue)
* Skaitiklis duomenys bus skaityti teisingai, tai tik pavyzdys, kai skaitiklio langelio (Tikiuosi, kad jūs vairuojate IO naudoti kitų yra daugiau komplikuoti dizainas).declage

[1 .. 0]: input;
CS: input;
miesto autobusais taisyklių [7 .. 0]: produkcija tristate;

Funtion_clr_ctn_a: ląstelė;
Funtion_clr_ctn_b: ląstelė;

conuter_a [15 .. 0]: dffe;
conuter_b [15 .. 0]: dffe;
io_in_a: dffe;
io_in_b: dffe;

io_in_a.clk = clk_3mhz;
conuter_a [15 .. 0]. CLK = clk_3mhz;

io_in_b = clk_750khz;
conuter_b [15 .. 0]. CLK = clk_750khz;io_in_a = io_a;
Jei io_in_a tada
conuter_a [15 .. 0] = conuter_a [15 .. 0] 1;
kitas
conuter_a [15 .. 0] = conuter_a [15 .. 0];
endif;
conuter_a [15 .. 0]. clrn = Funtion_clr_ctn_a;io_in_b = io_b;
Jei io_in_b tada
conuter_b [15 .. 0] = conuter_b [15 .. 0] 1;
kitas
conuter_b [15 .. 0] = conuter_b [15 .. 0];
endif;
conuter_a [15 .. 0]. clrn = Funtion_clr_ctn_a;

jei byla [1 .. 0]

atveju [1 .. 0] == 0
miesto autobusais taisyklių [7 .. 0] = conuter_a [15 .. 8];

atveju [1 .. 0] == 1
miesto autobusais taisyklių [7 .. 0] = conuter_a [7 .. 0];

atveju [1 .. 0] == 2
miesto autobusais taisyklių [7 .. 0] = conuter_b [15 .. 8];

atveju [1 .. 0] == 3
miesto autobusais taisyklių [7 .. 0] = conuter_b [7 .. 0];

pabaigos atveju; - (galite naudoti taip pat, jei elsif eilutės)

miesto autobusais taisyklių [7 .. 0]. oe =! CS &! RD; (cs taip pat galima spręsti dekoduoti linksmybės clpld kaip CS = [12 .. 6] == 347;) (atsargus statinė pavojaus šioje funtion )

Funtion_clr_ctn_b = "Baigti skaityti reg arba parašyti Spec Pridėti reg func"
Funtion_clr_ctn_b = "Baigti skaityti reg arba parašyti Spec Pridėti reg func"

Tikiuosi, kad paprastas dizainas atsakymą į Jūsų klausimą.

Nuoširdžiausi linkėjimai.
Paskutinį kartą redagavo plepus on 08 Jul 2002 14:55, edited 1 kartą

 
Pirma, thank you very much!

Žinai, aš naudoju Cypress Cy37064.
Tačiau, jei programa buvo parengta, aš klaidų, pavyzdžiui, kad:

"Output signalas xxx negalima priskirti loginis blokas.

Manau Macrocells turi būti perkrautas.

Bandau kompiliuoti CY37128 (128macros).Sėkmės!

Rezultatas:
Šie logika plius kita logika kaina tik 58 Macrocells.

Ar galite pasakyti, kodėl?

Jei per dėlionė, ar tu proto skaityti mano programa?

thx.

 
Hi Wizz.

Pirmiausia aš remonto linija: Manau, kad 34 8 (2 arba 4) = 44-46 (not56) langelį geriausiu atveju;

Antra.
Dabar kai jūs man pasakė, kad kaina tik 58, bet KOMP padaryti eror 64 macrocell, aš įsitikinę, kad yra, nes:

Clpd turėti konkrečių Nr laidų vidaus linijos, kad būtų ryšys
btwen lcells ir IO, yra nedidelis clpds (taip pat daugiau reta didelis), kai
naudoti daugiau nei 80% -90% tai yra problema, kad tilptų kad laidai iš ląstelių į ląsteles ar IO.

Išspręsti šią problemą, yra ne nustatyti IO, bet nėra paprasta, jei turite dizainas ir Evry kompiliacija dėl chpis IO yra kaita.
Antrasis variantas yra eiti į didesnes lustas ir išspręsti savo problemas daugeliu atveju (kaiščiai yra, kad jūs negalite incrase Evry metu kaip speciali kaiščių "Laikrodis" arba cotrol už OE Rezultatų).Trečia.

Yra pateisinamos priežasties iš Jūsų, jei norite pamatyti, kaip pabaigoje Desing išvaizdą.
"Jei per dėlionė, ar tu proto skaityti mano programa?"

Tai labai paprasta, pirmiausia dėl savo konstrukcijos nėra didelė,
antra konstrukcija turi būti ne dėlionė.
Atsakymas į jūsų rankas, kai renka sorce
Jūs kažkiek Rezultatų failo vieno iš jų CPLD, bet
Jums failo ataskaitą txt failą. (kurį laiką jums reikia aktyvuoti, kad
funkcija jūsų compiller už jį gauti).
Tame faile galite pamatyti evryting kaip buvo dizainas,
kiek ląstelių ir už Evry ląstelių lygtis.I'm @ ltera fammiliar dizainu, bet Siųsti man failus (šaltinis ir ataskaitos) ir galiu pasakyti, jei matau, kai kurie klaidingai padidinti savo žinoti kitų lustų ir comp.I am mano el.

Maža pastaba apie dizaino Manau reikia pridėti ir dar vieną funkciją
už žinoti Timmers gavo overflow (Reikalinga paprasta juos sustabdyti, kai jie atvyko į ffffh

paskelbti
stop_cnt_a: lcell - (force KOMP naudoti ląstelių lygtis)
stop_cnt_b: lcell;

- "Pastaba"
- (Galite naudoti taip pat mazgą, bet kai kuriais atvejais, jei lygtis per 16 signalą jis padidėjo dėl CNT ląsteles nuo 16 iki Nx16 bacause, kad aš naudoju lcell jėga, galite atlikti testą mazgas ir se, kad šios sąnaudos Jūs ląstelėse, jei taip naudojant ląstelių deklaraciją, kad kainuos tik 1 elementų)

stop_cnt_a =! (conuter_a [15 .. 0] == ffffh);
stop_cnt_a =! (conuter_a [15 .. 0] == ffffh);
(Sorry for my rašybos langue conuter => skaitiklis)

remontas eilutes:

1.Jei io_in_a tada => if (io_in_a & stop_cnt_a), tada
2.Jei io_in_b tada => if (io_in_a & stop_cnt_b), tadaKt.

Pastaba: po sustojimo counter iš IO turite palaukti, kol viena laikrodžio paskutinės kovos prieš duomenys bus galioja skaityti.Nuoširdžiausi linkėjimai.

 
Jūs turite būti CPLD ekspertų!

You Said "clpd turėti konkrečių Nr laidų vidaus linijos, kad būtų ryšys."I think so.

Aš pašalino vieną "Atstatyti" signalą, ir ši problema buvo išspręsta!
( "Reset" signalai buvo naudojamos tam tikros funkcijos!)

Turiu programa, el.
(Programoje klaidos "Reset" kodą Turiu pastebėjo su "Klaida Reset signalo".)

Thanks again.

 
Labas,

Tiesiog mintis!Ar manote, kad naudojant paprastas 3 5V Switcher į
tik paleisti laikmatį lustą?

Sėkmės.

 
Iš pradžių, mano nuomone, naudojant 74lvc4245.

Bet, turiu naudoti tris 74lvc4245s.Energijos sunaudoja buvo per didelis.

Žinote ranktūris skaitiklis negali sąnaudos per daug šiuo metu!

Wizz

 
Hi Wizz

Manau į elektrodas nuorodą į Didžiosios doc, kuriame aprašoma apie pavojų.

http://www.doe.carleton.ca/ ~ shams/97350/hazards.pdf

Tai atidarys jūsų akys daugiau

<img src="http://www.edaboard.com/images/smiles/icon_eek.gif" alt="Shocked" border="0" />

.

Aš vis dar bando rasti tam doc jums apie sinchronizavimo sistemos taisykles

<img src="http://www.edaboard.com/images/smiles/icon_mad.gif" alt="Pakvaišęs" border="0" />

.Nuoširdžiausi linkėjimai.

<img src="http://www.edaboard.com/images/smiles/icon_cool.gif" alt="Vėsus" border="0" />
 

Welcome to EDABoard.com

Sponsor

Back
Top