kodas papratimas imituoti tinkamai

U

user_asic

Guest
I'm making elgesio modelis BCD 7-segment dekoderis.Tačiau jos nesuteikia prognozuoti rezultatus.Visų segmentų produkcija yra "z".

Kodasmodulis binaryTo7Seg (kodas, segmente);produkcija reg [7:0] segmentui;

input [3:0] kodas;visada @ (kodas)

atveju (kodas)

0: segmente = ~ 8'b11111100;

1 segmentas = ~ 8'b01100000;

2 segmentas = ~ 8'b11011010;

3 segmentas = ~ 8'b11110010;

4 segmentas = ~ 8'b01100110;

5 segmentas = ~ 8'b10110110;

6 segmentą = ~ 8'b10111110;

7 segment = ~ 8'b11100000;

8 segmentą = ~ 8'b11111110;

9 segmentą = ~ 8'b11100110;

10: segmente = ~ 8'b11101110;

11 segmentą = ~ 8'b00111110;

12: segmente = ~ 8'b10011100;

13: segmente = ~ 8'b01111010;

14: segmente = ~ 8'b10011110;

15: segmente = ~ 8'b10001110;

Default: segmente = 8'bx;

endcaseendmodule

 
wufei rašė:

trūksta šios eilutės:binaryTo7Seg binaryTo7Seg (,,,)
 
Hi user_asic,

Ar pažvelgti bangų?

Bests,
Tiksan
http://syswip.com/

 
Syswip rašė:

Hi user_asic,Ar pažvelgti bangų?Bests,

Tiksan

http://syswip.com/
 
Galite tai padaryti greitai, kad būtumėte tikri, kad RTL pusėje yra OK.
By the way I imituoti savo kodą su bangomis ir jis yra OK.
Manau, kad problema yra neteisingai dempingas.

Tiksan,
http://syswip.com/

 
kaip minėta pirmiau, tai nėra

binaryTo7Seg binaryTo7Seg (,,,)

Jūs neturite instantiated RTL modulis savo stende

 

Welcome to EDABoard.com

Sponsor

Back
Top