Kaip naudotis bloko RAM

R

Ravindra Kalla

Guest
Can anybody tell me, KAIP VARTOTI Bram Į XILINX.
Prašome atsiųsti GEROS NUORODOS.

Reikia taip pat pasakyti, kaip išsaugoti visus vertę Bram.
Padėkoti
Have A Nice Day

 
Hi this is easy tiesiog eikite į XST Vartotojo vadovas ir TAIP DTL kodavimo technikos skyriuje, rasite daug pavyzdžių, taip pat, kaip inicijuoti Bram ... ten yra taip pat Application Note apie vartais į Xilinx svetainėje.

 
Blokuoti RAM gali būti instantiated dviem būdais.
viena yra, naudojant pagrindinius generatorius pateikė Xilinx.
Kitas būdas yra egzempliorių iš UNISIM biblioteka komponentas.
I'have Priimta antroji ir ji veikia teisingai.
pirmoji ir eiti į UR Xilinx aplanko ateina, kai u instancija Xilinx programinės įrangos.
tada goto kataloge VHDL (arba vhdl_src) toje.
ten u'll rasti UNISIM kodas failą
U renka, kad ir įtraukti jį kaip biblioteką.
jau artėja prie kodas bus dirbti. Tai yra 512 x 8 atminties. daugiau informacijos ir pamatyti Xilinx online dokumentaciją.

[/ code]
- duomenų atmintį, naudodami UNISIM bloko RAM
Biblioteka IEEE;
naudoti ieee.std_logic_1164.all;
Biblioteka UNISIM;
naudoti unisim.all;
subjektas dmemory yra
uostas (read_data: iš STD_LOGIC_VECTOR (7 downto 0);
Adresas: STD_LOGIC_VECTOR (7 downto 0);
laikrodis, Reset: In STD_ULOGIC;
write_data: in STD_LOGIC_VECTOR (7 downto 0);
memread, memwrite: in STD_LOGIC);
pabaigos dmemory;

Architektūra instancijos dmemory yra
komponentas RAMB4_S8
generic (
INIT_00,
INIT_01,
INIT_02,
INIT_03,
INIT_04,
INIT_05,
INIT_06,
INIT_07,
INIT_08,
INIT_09,
INIT_0A,
INIT_0B,
INIT_0C,
INIT_0D,
INIT_0E,
INIT_0F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000"
)

uostas (WE, LT, GR, CLK: in std_logic;
Addr: į STD_LOGIC_VECTOR (8 downto 0);
DI: į std_logic_vector (7 downto 0);
DO: iš std_logic_vector (7 downto 0));
end component;

signalas logic0, logic1: std_logic;
signalas temp, mes: std_logic;
signalas Adresas1: std_logic_vector (8 downto 0);
signalas CLK: std_logic;

pradėti

logic1 <= ne reset;
temp <= memwrite XOR memread;
mes <= temp ir (memwrite ir (ne memread));
Adresas1 <= '0 '& adresą;
clk <= ne laikrodis;
RAMB4_S8_INSTANCE_NAME: RAMB4_S8

generic žemėlapyje (
INIT_00 => X 0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123450808050406 ",
INIT_01 => X 0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF ",
INIT_02 => X 0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF ",
INIT_03 => X 0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF ",
INIT_04 => X 0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF ",
INIT_05 => X 0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF ",
INIT_06 => X 0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF ",
INIT_07 => X 0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF ",
INIT_08 => X FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210 ",
INIT_09 => X FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210 ",
INIT_0A => X FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210 ",
INIT_0B => X FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210 ",
INIT_0C => X FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210 ",
INIT_0D => X FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210 ",
INIT_0E => X FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210 ",
INIT_0F => X FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210 ")
Uosto žemėlapyje (WE => Mes, LT => logic1, GR => Reset, CLK => CLK, Addr => Adresas1, DO => read_data, DI => write_data);
Pavyzdžiui pabaigos;

Kodas

 
labas,
Xilnx ISE's kalbų šablonų tvarkingai paaiškinama, kaip naudoti Bram in ur dizainas.
Todėl patikrinkite, kad iš

 
Checkout XST vartotojo vadovas (tema: DTL kodavimo technikos).Jis yra Xilinx diegimo aplanko viduje dokumentai.

 
Ar kas nors iš jūsų vaikinai žino, kaip inicijuoti ieškoti lenteles?naudoti kaip atminties?

 
If u naudojimas Xilinx ISE, tai tiesiog naudokite COREGENERATOR, tai labiausiai paprasčiausias ir labiausiai optimizuota Methode Manau .....to give u visas galimybes ir gali galvoti apie ...

 
Kas yra pagrindinis generatorius prašom .... tai generuoja IP .... tai ne ta teise ...???
Is it free?jį galima parsisiųsti iš Xilinx svetainę
ačiū
Salma: D

 
vahidkh6222 rašė:

If u naudojimas Xilinx ISE, tai tiesiog naudokite COREGENERATOR, tai labiausiai paprasčiausias ir labiausiai optimizuota Methode Manau .....
to give u visas galimybes ir gali galvoti apie ...
 
Salma ali Bakr rašė:

Kas yra pagrindinis generatorius prašom .... tai generuoja IP .... tai ne ta teise ...???

Is it free?
jį galima parsisiųsti iš Xilinx svetainę

ačiū

Salma: D
 

Welcome to EDABoard.com

Sponsor

Back
Top