Gegužė FIFO, reikia skaityti ir raštu vienu metu?

V

vvsvv

Guest
FIFO padarė BlockRAM iš Spartan2 (Xilinx)?

gali tai FIFO bus skaityti ir rašyti tuo pačiu metu?ačiū.

 
Spartan2 blokas avinai yra tiesa DUAL PORT prisiminimus.
Taigi, FIFO gali būti suprojektuotos, kurias galima skaityti / raštu vienu metu.

 
it_boy rašė:

Spartan2 blokas avinai yra tiesa DUAL PORT prisiminimus.

Taigi, FIFO gali būti suprojektuotos, kurias galima skaityti / raštu vienu metu.
 
Galite skaityti FIFO rašant jei žodis numeris FIFO yra daugiau nei vienas.Kai FIFO yra tik vienas žodis, yra susidurti su skaityti ir rašyti adresu rizika.

 
Labas,

Taip, Fifos įsikūrusi BlockRAM galima skaityti ir rašyti vienu metu, sinchroninis ir asinchroninis tie.

Jūs turite stebėti "tuščias" signalas iki Popping out kitą žodį ir viskas.

Pakanka prisiminti, kad raštu nurodo async FIFO nėra trivialus (sync yra žemės riešutų).

-maestor

 

Welcome to EDABoard.com

Sponsor

Back
Top