anksto ir postsynthesis modeliavimas nesutapimui prašome padėti

V

vlsi_006

Guest
Hello everyone,
Turiu problemų mano vartų lygio Netlist imitavimui.Mano RTL kodas modeliavimas veikia gerai, tačiau vartų lygio modeliavimo neveikia (gaunu XXX valstybės).Tačiau dizainas sėkmingai pereina oficialią patikrą.Prašau duoti man tirpalo.

 
Paprastas sprendimas, siekiant išvengti šių X yra
1) Patikrinkite, ar visos kontrolės registruose nėra "x" atkurti valstybės (tai nustato daugumą klausimų)
2) Įsitikinkite, kad esate neautentišką "X" ant bet kokios kontrolės autobusu (paprastu modeliavimas pernelyg panašūs duomenys svarbūs
ir tt ..)

Jei vis dar "X" ateina tada turite grįžti atsekti iki esminės priežastys

Jums reikia laikytis kodavimo vadovas linijas, kad būtų išvengta tokių

 
Labas,

Taip pat galite patikrinti baranów produkcijos.Paprastai jums reikia pradinio barana turinį.Galite paprašyti savo tiekėjo, kaip tai padaryti.

 
Ačiū už atsakymą.
Gerbiamasis Pone, i am a student daryti galutinis metų projektas, ir aš įgyvendinimo Viterbiego dekoderį AGRINDINĖS.
Duomenų atminties ir kitų registrų yra "0", kai iš naujo.
Adresas, RAM yra generuojami iš bloko vadinamas tBu kurios problemos x būklė (todėl duomenų iš RAM ne ateis teisingai).Šis tBu blokas turi pereiti registre natūra logikos jame.Visada bloko modulis turi tinkamas jautris sąraše nurodyta (kaip mano žiniomis) ji vengti prieš ir po modeliavimas nesutapimui.
Ir aš neturiu jokių SDF failo metu imitavimui.Ar tai yra priežastis, kodėl aš ne gauti o / p?Aš imitavimas gatelevel Netlist, gautų iš DC VCS (pridėti bibliotekos taip pat).Nėra klaidų, apie kurias pranešė sintezė įrankis išskyrus keletą žinomų įspėjimai.Nekantriai laukiu Jūsų atsakymo.

 
Na jei RAM sukelia X tada ji arba turi būti inicijuoti kai kurių vertės ir / arba parašyti prieš skaityti iš.

 
Ši problema gali ateiti Jei visą savo registrų arba signalų visada blokai nėra pradedamas tinkamai.Jei neturite pradedamas bet ir signalai naudojami visada blokuoti gausite X ir ji propaguoti visoje Dizainas.Pabandykite, kad.Taip pat bandykite patikrinti JEI Laikrodis eina gerai.

 

Welcome to EDABoard.com

Sponsor

Back
Top